Сегодня 28 марта 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → полупроводники
Быстрый переход

Власти США попытаются заставить нидерландскую ASML не обслуживать оборудование, установленное в Китае

Стремления и успехи Китая в развитии собственной полупроводниковой промышленности огорчают правительство США, и оно попытается надавить на Пекин через союзников — Вашингтон просит их ввести дополнительные ограничения на обслуживание соответствующего оборудования.

 Источник изображения: Applied Materials

Источник изображения: Applied Materials

«Мы обсуждаем с союзниками отказ от обслуживания ключевых компонентов», — заявил сегодня заместитель министра торговли по вопросам промышленности и безопасности Алан Эстевес (Alan Estevez). По его словам, США не планируют ограничивать поставки второстепенных компонентов, которые Китай может отремонтировать самостоятельно.

Американские чиновники были крайне удивлены, когда в 2023 году Huawei выпустила новый смартфон с 5G на базе 7-нм чипа китайского производства. Тем не менее, Huawei и её производственный партнёр Semiconductor Manufacturing International Corp. (SMIC) по-прежнему используют зарубежное оборудование от Applied Materials Inc. и ASML Holding NV. Официальный Вашингтон запретил Applied Materials и другим американским компаниям обслуживать оборудование на включённых в санкционные списки китайских предприятиях, однако Япония и Нидерланды не присоединились к этим ограничениям.

Nikon надеется пристроить своё литографическое оборудование китайским производителям электромобилей

Китайским производителям чипов то и дело приходится сталкиваться с новыми ограничениями на получение оборудования из США, Нидерландов и Японии, но действующие санкции пока сосредоточены преимущественно на решениях для продвинутых техпроцессов. Nikon считает, что в таких условиях сможет активно развивать свой бизнес на китайском направлении.

 Источник изображения: Nikon

Источник изображения: Nikon

Японский производитель оптических систем поставляет и литографическое оборудование, причём в далёком 2007 году он даже создал прототип сканера для работы с так называемым EUV-излучением. Подобная инициатива в масштабах серийного производства потребовала бы существенных капитальных затрат, а потому от выпуска оборудования для работы с EUV-литографией, позволяющей производить чипы по технологиям 7 нм и тоньше, в Nikon отказались.

Высвободившиеся ресурсы компания решила направить на выпуск оборудования для более зрелых техпроцессов и его адаптацию под нужды конкретных групп клиентов. В связи с бурным развитием китайского рынка электромобилей, как поясняет DigiTimes, компания Nikon рассчитывает неплохо заработать на поставках в КНР оборудования для выпуска силовой электроники, включая производимые по 28-нм техпроцессу чипы.

В текущем году китайские клиенты Nikon начнут получать систему для травления пластин из карбида кремния, которая получила обозначение NSR-2205iL1. Оборудование для работы с карбидом кремния Nikon выпускала уже 30 лет назад, но из-за отсутствия спроса на него сделала продолжительную паузу, а теперь на фоне возрождения интереса к таким системам разработала и подготовила к выпуску новый продукт. Китайские производители автомобильных компонентов охотно закупают оборудование Nikon, даже если спектр его возможностей ограничивается работой с 28-нм техпроцессом. Представители японского производителя убеждены, что в последующие десять лет китайский рынок полупроводниковой продукции будет демонстрировать взрывной рост.

Японский поставщик создаст фотомаски для выпуска 2-нм чипов на предприятии Rapidus

По иронии судьбы, располагая технологиями для выпуска передового литографического оборудования, Япония к настоящему времени сохранила на своей территории лишь достаточно зрелые с точки зрения техпроцессов предприятия по выпуску чипов, и консорциум Rapidus к 2027 году хочет порвать этот порочный круг, освоив в Японии выпуск 2-нм чипов. В достижении этой цели ему будут помогать японские поставщики.

 Источник изображения: DNP, LinkedIn

Источник изображения: DNP, LinkedIn

Как поясняет Nikkei Asian Review, фотомаски для выпуска 2-нм чипов консорциуму Rapidus готовы поставлять сразу две японские компании. Dai Nippon Printing (DNP) собирается потратить $330,3 млн на разработку и массовый выпуск фотомасок для производства 2-нм чипов компанией Rapidus. В текущем фискальном году, который начнётся в понедельник, DNP намеревается выпустить две специализированные машины для производства таких фотомасок, и в 2027 году наладить массовый выпуск таких масок для изготовления 2-нм чипов на своём предприятии к северу от японской столицы.

Компания Toppan Holdings тоже участвует в создании фотомасок для изготовления 2-нм чипов, но сотрудничает напрямую с IBM, которая в проекте Rapidus выступает в роли «технологического донора». Уже в 2026 году указанный японский поставщик собирается приступить к отгрузке соответствующих фотомасок для нужд заказчика, которым принято считать Rapidus.

Компания Taiyo Nippon Sanso построит отдельную линию по выпуску технических газов на своём предприятии на острове Хоккайдо, чтобы уже в следующем году начать снабжать своим сырьём пилотную линию по производству 2-нм чипов компании Rapidus, расположенную в том же районе. С 2027 года последняя собирается наладить выпуск 2-нм чипов для сторонних клиентов на территории Японии. Участие японских поставщиков в реализации этого проекта определённым образом поддерживает развитие всей национальной отрасли.

Американский производитель оборудования для выпуска чипов Lam Research хочет обосноваться во Вьетнаме

Неоднозначность складывающейся из-за противостояния властей США и КНР ситуации на рынке оборудования для производства чипов красноречиво иллюстрировалась участием американских гигантов в китайской отраслевой конференции в качестве спонсоров мероприятия. При этом американский бизнес осознаёт связанные с Китаем риски, а потому Lam Research пытается обосноваться во Вьетнаме.

 Источник изображения: Lam Research

Источник изображения: Lam Research

Об этом сообщает издание Nikkei Asian Review со ссылкой на заявления представителей американского поставщика оборудования для выпуска чипов. По словам источника, вице-президент по глобальным операциям Картик Раммохан (Karthik Rammohan) посетил Ханой для оценки возможностей по диверсификации цепочек поставок и поддержки производственной деятельности компании в азиатском регионе. В столице Вьетнама он встретился с премьер-министром страны Фам Минь Тинем (Pham Minh Chinh). Власти Вьетнама, как сообщается, агитируют Lam Research вложить до $1 млрд в местную экономику с целью организации локального производства.

По данным вьетнамских СМИ, партнёром Lam Research во Вьетнаме может стать южнокорейская компания Seojin, которая уже сотрудничает с Samsung Electronics и Intel, располагающими крупными предприятиями на территории страны. В 2022 году китайские клиенты обеспечили 31 % выручки Lam Research, но экспортные ограничения США в 2023 году привели к сокращению этой доли до 26 %. Поскольку некоторые производители чипов рассматривают Вьетнам в качестве направления для миграции из Китая, то наличие здесь локального производства оборудования Lam Research наверняка бы упростило логистику. Компания также получает материалы от восьми вьетнамских поставщиков, поэтому её заинтересованность во взаимодействии с местным бизнесом носит разносторонний характер.

Власти Вьетнама осознают важность наблюдаемых тенденций, а потому вместе с крупным зарубежным бизнесом пытаются продвигать инициативу по подготовке 100 000 квалифицированных специалистов для работы в местной сфере высоких технологий. Первоначально речь шла о 50 000 специалистов, но потом стало понятно, что этого количества мало.

SK hynix через год начнёт строить крупнейший в мире комплекс по выпуску памяти

В конкурентной гонке, которую подстегнул интерес к использующим память класса HBM ускорителям вычислений, южнокорейские производители не боятся заглядывать в весьма отдалённое будущее и делать долгосрочные инвестиции. SK hynix собирается до 2046 года потратить почти $91 млрд на развитие крупнейшего в мире комплекса по производству памяти, и первое из четырёх предприятий начнёт строить уже в марте следующего года.

 Источник изображения: SK hynix

Источник изображения: SK hynix

В качестве крупнейшей в мире площадки по выпуску микросхем памяти SK hynix выбрала южнокорейский Йонъин, причём ещё в 2019 году, но дальнейшей реализации планов тогда помешала пандемия, и к обсуждению проекта удалось вернуться только в 2022 году, получив одобрение как на уровне центрального правительства Южной Кореи, так и на уровне муниципалитетов, а также партнёров и поставщиков SK hynix. В прошлом месяце власти распорядились обеспечить строительную площадку необходимыми энергетическими ресурсами.

Первое предприятие, которое в готовом виде будет занимать три этажа, SK hynix начнёт строить в Йонъине в марте следующего года, сейчас площадка готова к строительным работам примерно на 35 %. Данный завод сам по себе станет крупнейшим в мире, а всего в этом районе SK hynix собирается к 2046 году построить ещё три подобных предприятия. В текущем месяце власти Южной Кореи должны представить комплексный план поддержки перспективных отраслей национальной экономики, охватывающий и полупроводниковую промышленность. Уже в этом году, как рассчитывают корейские чиновники, страна сможет экспортировать памяти типа HBM на общую сумму более $120 млрд.

Компании из США проспонсировали китайскую полупроводниковую выставку Semicon China, несмотря на санкции

В октябре прошлого года американские власти в очередной раз усугубили санкции в сфере технологий производства полупроводниковых компонентов в отношении китайских компаний, но это не помешало представителям американского бизнеса проявлять определённый интерес к отраслевой конференции Semicon China в Шанхае. Те, кто не принял участие в мероприятии, не удержались от его спонсорской поддержки.

 Источник изображения: China Daily

Источник изображения: China Daily

По крайней мере, ещё с прошлого года поставщики оборудования для выпуска чипов, американские компании Lam Research и Applied Materials выступают в роли спонсоров этой отраслевой конференции, к ним присоединилась и попавшая под китайские санкции Micron Technology. Ни одна из указанных компаний при этом не организовала на выставке собственный стенд. Поставщик контрольно-измерительного оборудования KLA не побоялся принять участие в мероприятии и одновременно выступить в роли спонсора, оказавшись единственным американским представителем отрасли на Semicon China.

Японские компании Tokyo Electron и Canon, напротив, не только приняли участие в мероприятии, но и оказались в растущем кругу соотечественников на полях этой конференции. ASML и её американский поставщик Cymer в этом году от участия в Semicon China отказались, хотя в прошлом присутствовали на ней. Зато китайские поставщики оборудования в полной мере чувствовали себя хозяевами мероприятия.

Компания AMEC, в частности, в прошлом году увеличила свою выручку на 30 % как раз из-за необходимости клиентов переключиться на оборудование китайского происхождения. Этот поставщик заявил, что в своей сфере деятельности на китайском рынке поможет добиться 100-процентного импортозамещения к концу текущего года. Naura Technology Group воспользовалась мероприятием для продвижения своего оборудования, позволяющего выпускать 7-нм чипы. В прошлом году выручка компании выросла более чем на 40 %, чистая прибыль должна была вырасти более чем на 50 %. Если во всём мире выручка от реализации оборудования для производства чипов по итогам прошлого года сократилась на 2 %, то на китайском рынке наблюдался её рост на 28 %. Сейчас потребности внутреннего рынка примерно на 20 % покрываются местными поставщиками оборудования, но к 2035 году они рассчитывают занять до 70 % на рынке КНР.

Samsung намерена через пару лет снова стать крупнейшим производителем чипов в мире

Прошлый год на рынке памяти характеризовался такими низкими ценами, что Samsung Electronics пришлось откатиться на четвёртое место в рейтинге лидеров рынка полупроводниковых компонентов в показателях выручки, уступив не только TSMC и Intel, но и компании Nvidia. Корейский гигант собирается вернуть себе первое место в этом рейтинге через два или три года, как сообщило руководство Samsung.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Данное заявление, по данным Business Korea, прозвучало на ежегодном собрании акционеров компании. Напомним, что ранее Intel и Samsung соперничали за звание крупнейшего поставщика полупроводниковых компонентов с точки зрения выручки с некоторой периодичностью, но в последние годы у них появились достойные соперники в лице TSMC и Nvidia, причём обе в какой-то мере нарастили выручку именно благодаря пандемии и нынешнему буму систем искусственного интеллекта. Если Samsung по итогам прошлого года выручила $45,9 млрд, то TSMC стала лидером с $66,8 млрд, а третье место досталось Nvidia с её $49,5 млрд выручки.

В этом году Samsung намеревается восстановить «фундаментальную конкурентоспособность» своего полупроводникового бизнеса и устранить недочёты на уровне операционной деятельности подразделения, которые были усугублены отраслевым кризисом в прошлом году. Примечательно, что Samsung представит собственный ускоритель вычислений Mach 1 в начале следующего года, и он по своему быстродействию будет сопоставим с Nvidia H100. В развитие своего исследовательского центра в Йонъине Samsung до 2030 года собирается вложить $15 млрд, вдвое увеличив задействованные в разработках на полупроводниковом направлении ресурсы. Помимо 6-го поколения 10-нм памяти DRAM и девятого поколения памяти V-NAND, компания собирается вывести на рынок шестое поколение HBM (HBM4). Для производства мобильных процессоров с условным названием Exynos 2500 будет использоваться 3-нм технология. Будут создаваться передовые чипы для автомобильного сегмента и передачи данных по беспроводным каналам.

Как уже отмечалось, в этом году Samsung собирается выручить не менее $100 млн от оказания услуг по упаковке чипов с использованием 2.5D-компоновки. Силовая электроника пополнится решениями на основе карбида кремния и нитрида галлия, а ещё к 2027 году Samsung рассчитывает начать выпуск дисплеев типа micro-LED для устройств дополненной и виртуальной реальности. Всё это позволит Samsung в перспективе двух или трёх лет стать крупнейшим поставщиком полупроводниковых компонентов в показателях выручки, как уверено руководство компании.

США запустили возрождение нацпроизводства чипов: Intel получит $8,5 млрд субсидий и огромные льготы

На этой неделе стало окончательно ясно, что в стремлении наладить к 2030 году на территории США выпуск 20 % мирового количества передовых чипов, власти страны делают серьёзную ставку на корпорацию Intel. Она, согласно предварительной договорённости, получит $8,5 млрд прямых безвозвратных субсидий, $11 млрд в форме льготных кредитов и налоговый вычет в размере 25 % на сумму инвестиций до $100 млрд.

 Источник изображения: Intel

Источник изображения: Intel

Как подчёркивается в совместном заявлении представителей Министерства торговли США и компании Intel, соглашение носит предварительный характер, и ведомство ещё должно окончательно согласовать условия поддержки данной компании в реализации инвестиционных проектов в полупроводниковую отрасль страны. Генеральный директор Intel Патрик Гелсингер (Patrick Gelsinger) признался, что компания рассчитывала примерно на такие меры поддержки, которые получила, но подчеркнул, что для основательного возрождения национальной полупроводниковой отрасли США может потребоваться второй «Закон о чипах».

Кроме того, в отношении оборонных контрактов с Intel было сказано, что выделяемые Министерством торговли США субсидии покрывают только расходы компании в коммерческом секторе, без учёта финансирования оборонных заказов. Переговоры с профильными заказчиками ведутся, но глава Intel по понятным причинам не готов раскрывать их специфику.

Итак, по первому и единственному на данный момент «Закону о чипах» правительство США готово предоставить $8,5 млрд безвозвратных субсидий на строительство предприятий по выпуску чипов и их упаковке на территории страны. Ещё $11 млрд можно будет получить в форме долгосрочных кредитов под весьма низкую процентную ставку, но эти средства всё же придётся вернуть. Щедрым выглядит и предложение властей США предоставить Intel 25-процентный налоговый вычет на общую сумму $100 млрд из тех средств, которые компания изыщет на покрытие своих капитальных расходов сама. Руководство Intel дало понять, что воспользуется налоговым вычетом в полной мере, рассчитывая вернуть в течение нескольких лет до $25 млрд потраченных на строительство предприятий средств.

К слову, структура собственных расходов Intel на создание новых предприятий подразумевает, что непосредственно на возведение корпусов и зданий уйдёт около 30 % средств, а оставшиеся 70 % будут направлены на закупку и монтаж технологического оборудования. Напомним, помимо двух предприятий в Аризоне, компания собирается построить два предприятия по обработке кремниевых пластин в Огайо, расширить и модернизировать своё предприятие и исследовательский центр в Орегоне, а также переоборудовать предприятия в Нью-Мексико под тестирование и упаковку чипов. Примечательно, что воспользоваться налоговыми льготами Intel сможет уже сейчас.

Гелсингер подтвердил, что предприятия в Огайо не будут введены в строй ранее 2027 или 2028 года, но не стал конкретизировать причины задержки относительно первоначального срока (2025 год). Решение по Intel стало уже четвёртым в рамках «Закона о чипах», но при этом крупнейшим по выделяемой сумме субсидий. Ранее власти США одобрили выделение $35 млн компании BAE, $162 млн компании Microchip Technologies, а наиболее крупной суммой оказались $1,5 млрд для компании GlobalFoundries. В ближайшие недели, как ожидается, Министерство торговли США определится с заявками TSMC и Samsung на предоставление им субсидий.

Samsung намерена заработать $100 млн на упаковке чипов в этом году

Спрос на услуги по компоновке чипов из нескольких разнородных кристаллов подталкивает контрактных производителей развивать соответствующий бизнес, и южнокорейская Samsung Electronics по итогам текущего года рассчитывает заработать более $100 млн на подобных услугах.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Об этом на ежегодном собрании акционеров, по данным Reuters, сообщил генеральный директор Samsung Electronics Кёнг Ке-хён (Kyung Kye Hyun). Контрактные услуги в этой сфере компания начала оказывать ещё в прошлом году, и во второй половине текущего компания рассчитывает получать от профильных инвестиций адекватную отдачу. По итогам текущего года выручка Samsung на этом направлении может превысить $100 млн. Это не так много на фоне более чем $13 млрд, которые Samsung принёс контрактный бизнес в целом по итогам прошлого года, но компания собирается усилить интеграцию подразделений, занимающихся разработкой, производством и упаковкой чипов.

Кроме того, на собрании акционеров руководство Samsung Electronics заявило, что компания собирается в текущем году увеличить свою долю на рынке памяти типа DRAM. В прошлом квартале данный показатель достигал 45,5 %, если опираться на данные TrendForce. Основной упор будет делаться на продвижение востребованной в сегменте искусственного интеллекта памяти типа HBM3E. В прошлом месяце Samsung сообщила о готовности начать выпуск 12-ярусных стеков HBM3E, а на этой неделе интерес к продукции компании открыто проявил генеральный директор Nvidia Дженсен Хуанг (Jensen Huang).

HBM4 компания предложит уже в следующем году, причём клиенты получат возможность адаптировать такую память к своим нуждам, поскольку разработчики будут более активно взаимодействовать со специалистами Samsung, отвечающими за бизнес по упаковке чипов. Не списывает компания со счетов и другие виды памяти, востребованные в серверном сегменте, имея в виду поддерживающие CXL и PIM продукты.

SK hynix запустила массовое производство стеков памяти HBM3E — первой её получит Nvidia

Южнокорейская компания SK hynix с момента выпуска памяти HBM первого поколения оставалась основным поставщиком соответствующих микросхем для нужд AMD и Nvidia, а уже после сегодняшнего анонса ускорителей Nvidia B200 решила не скрывать своих намерений начать массовые поставки микросхем HBM3E, которые уже относятся к пятому поколению. В конце этого месяца крупный клиент SK hynix начнёт получать от компании микросхемы HBM3E.

 Источник изображения: SK hynix

Источник изображения: SK hynix

Легко догадаться, что этим клиентом будет Nvidia, хотя прямых ссылок на этого партнёра в тексте пресс-релиза SK hynix нет. Зато корейский производитель упоминает о той самой технологии MR-MUF (массовой оплавки изоляционного слоя с частичным заполнением формы), которая позволяет на 10 % улучшить условия теплоотвода от микросхем HBM3E и повысить уровень выхода годной продукции по сравнению с альтернативной технологией NCF, подразумевающей использование изолирующей плёнки для разделения кристаллов памяти в стеке. Напомним, что Samsung интересуется внедрением первой из этих технологий при производстве памяти HBM3E своими силами, поскольку рассчитывает за счёт этого не только увеличить объёмы выпуска продукции, но и завоевать благосклонность Nvidia на этапе сертификации своей памяти.

Память HBM3E, которую начала массово выпускать компания SK hynix, способна передавать информацию со скоростью 1,18 Тбайт в секунду. По данным SK hynix, эта компания первой в мире освоила серийное производство микросхем памяти типа HBM3E. Память четвёртого поколения (HBM3) она тоже начала выпускать первой. Предметом особой гордости SK hynix является тот факт, что разработку HBM3E она анонсировала только семь месяцев назад, и в сжатые сроки смогла наладить массовое производство одноимённых микросхем.

Зарубежные поставщики Intel и TSMC не спешат строить свои предприятия в Аризоне

Предприятие по обработке кремниевых пластин с целью изготовления чипов не является самодостаточной производственной единицей, ему требуются не только инженерные коммуникации, но и ритмичные поставки расходных материалов и химикатов. Производители последних пока не готовы активно вкладываться в строительство предприятий в Аризоне, глядя на проблемы с реализацией местных проектов Intel и TSMC.

 Источник изображения: Intel

Источник изображения: Intel

Принято считать, что Министерство торговли США использует скорый визит президента США Джозефа Байдена (Joseph Biden) в штат Аризона для заявления о выделении субсидий на строительство предприятий TSMC и Intel. Последняя из компаний рассчитывает также получить государственную поддержку для строительства двух передовых предприятий в Огайо, поэтому руководство Intel с нетерпением ждёт оглашения решения правительства США о размере предоставляемых по «Закону о чипах» субсидий в свой адрес.

Как удалось выяснить Nikkei Asian Review, многие поставщики расходных материалов и химикатов, которые на первом этапе выразили готовность локализовать выпуск своей продукции в Аризоне, чтобы снабжать ею местные предприятия Intel и TSMC, на фоне задержки с реализацией проектов этих компаний в штате заняли выжидательную позицию. Кто-то готов построить своё предприятие в Аризоне, но ограничить его начальную производительность, прочие поставщики химикатов вообще готовы воздержаться от локализации производства в этом штате. Некоторые компании пока заняли выжидательную позицию, благо, построить свои предприятия они смогут буквально за несколько месяцев, когда станет понятно, какой станет потребность предприятий клиентов в конкретных видах продукции.

Норма прибыли у тех же производителей химикатов не так велика, чтобы строить небольшое предприятие в Аризоне было выгодно. Тем более, что сразу несколько опрошенных Nikkei производителей заявили о многократном росте затрат на строительство таких предприятий в США. Мало того, что реализация такого проекта в несколько раз дороже, чем в Азии, так ещё и смета за пару лет выросла в несколько раз относительно изначальной. Из-за инфляции подорожали строительные материалы, а приток инвестиционных проектов в Аризону вызвал дефицит рабочей силы в строительном бизнесе. В итоге некоторые поставщики химикатов, требуемых для производства чипов, просто пришли к выводу, что выгоднее на данном этапе поставлять их из-за рубежа, чем строить предприятие в Аризоне. Американские требования к защите окружающей среды также вынуждают некоторых азиатских поставщиков нести дополнительные расходы на обеспечение их выполнения, и это снижает их энтузиазм в отношении перспектив локализации производства. Субсидий от властей США поставщики химикатов могут дождаться лишь после того, как завершится их распределение между производителями чипов, но затраты последних тоже растут, поэтому денег на всех может не хватить.

TSMC задумалась о строительстве в Японии предприятия по тестированию и упаковке чипов

Давно известно, что одна из причин существующего дефицита передовых ускорителей вычислений — это ограниченные возможности TSMC по тестированию и упаковке чипов для них с использованием технологии CoWoS. Все профильные мощности компании сосредоточены на Тайване, но теперь Reuters сообщает о наличии у TSMC намерений построить подобное предприятие в Японии.

 Источник изображения: TSMC

Источник изображения: TSMC

Напомним, что в Японии TSMC уже реализует проекты по строительству двух предприятий, обрабатывающих кремниевые пластины с использованием относительно зрелой по тайваньским меркам литографии, а её исследовательский центр по использованию различных перспективных материалов и методов упаковки чипов в большей мере ориентирован на интересы самой TSMC, а не японских партнёров из числа поставщиков материалов и технологического оборудования.

Предприятие по упаковке чипов с использованием методики CoWoS, скорее всего, пригодилось бы кому-то из производителей чипов на территории Японии, который использует передовую литографию. В этом контексте главным бенефициаром данной инициативы кажется японский консорциум Rapidus, который уже в 2027 году надеется начать выпуск в Японии передовых 2-нм чипов. Впрочем, пока нет точной информации ни о сроках строительства обсуждаемого предприятия TSMC по упаковке чипов, ни о бюджете такого проекта. В настоящий момент основная часть клиентов TSMC, нуждающихся в услугах по упаковке чипов по методу CoWoS — это американские компании, как поясняют эксперты TrendForce.

Кстати, Intel и Samsung тоже собираются использовать компетенции японских производителей оборудования и поставщиков расходных материалов в своих интересах. Первая изучает возможность строительства в Японии профильного исследовательского центра, а вторая уже достигла соответствующей договорённости с местными партнёрами. Напомним, что у TSMC такой исследовательский центр на территории Японии уже есть.

За прошлый год выручка крупнейших контрактных производителей чипов обвалилась на 13,6 %, но к концу года наметилось восстановление

Аналитики TrendForce подвели итоги прошлого года для десяти крупнейших контрактных производителей чипов, подчеркнув, что период не был простым из-за затоваривания складов, макроэкономических проблем и медленного восстановления спроса в Китае. В совокупности, выручка лидеров рынка в прошлом году сократилась на 13,6 % до $111,54 млрд.

 Источник изображения: GlobalFoundries

Источник изображения: GlobalFoundries

В четвёртом квартале в отдельности десять крупнейших контрактных производителей чипов смогли увеличить свою выручку последовательно на 7,9 % до $30,49 млрд. Что характерно, подобная динамика была обусловлена преимущественно ростом спроса на компоненты для смартфонов среднего и нижнего ценовых диапазонов, а также разного рода чипов для периферийных устройств. В какой-то мере оживлению спроса на компоненты для смартфонов способствовал выход новых моделей iPhone в сентябре прошлого года. Именно способность TSMC выпускать 3-нм изделия в массовых количествах способствовала тому, что доля компании на рынке контрактных услуг в денежном выражении по итогам четвёртого квартала выросла до 61,2 %. В текущем году, как считают аналитики TrendForce, выручка десяти крупнейших контрактных производителей чипов вырастет на 12 % до $125,24 млрд. Именно TSMC опередит средние темпы роста выручки за счёт своей концентрации на передовых техпроцессах, востребованных при производстве компонентов для ускорителей вычислений.

 Источник изображения: TrendForce

Источник изображения: TrendForce

В четвёртом квартале прошлого года выручка TSMC последовательно выросла на 14 % до $19,66 млрд, доля выручки от выпуска чипов по технологиям от 7 нм и ниже выросла последовательно с 59 до 67 %, увеличив зависимость компании от передовой литографии. В ближайшее время данный показатель должен перешагнуть рубеж 70 %.

Компания Samsung Electronics на контрактном направлении последовательно сократила свою выручку на 1,9 % до $3,62 млрд, а её доля на рынке профильных услуг сократилась с 12,4 до 11,3 %. Занимающей третье место GlobalFoundries пошли на пользу заключаемые с автопроизводителями долгосрочные контракты на поставку чипов, которые последовательно увеличили её выручку на 0,1 % до $1,85 млрд, а непосредственно в автомобильном сегменте профильная выручка увеличилась сразу на 5 %. При этом рыночная доля компании в показателях выручки сократилась с 6,2 до 5,8 %.

Тайваньская UMC сохранила за собой четвёртое место и 5,4 % рынка, но её выручка в четвёртом квартале последовательно снизилась на 4,1 % до $1,73 млрд, поскольку эпизодические всплески спроса на её продукцию не смогли переломить общей негативной тенденции. Китайская SMIC нарастила выручку на 3,6 % по сравнению с третьим кварталом до $1,68 млрд, но её доля на мировом рынке всё равно сократилась с 5,4 до 5,2 %, хотя в результате она всё равно не ушла с пятого места. Примечательно, что положительную динамику выручки SMIC формировали срочные заказы на компоненты для смартфонов и ноутбуков, но они наверняка исходили преимущественно от китайских клиентов.

Если в третьем квартале контрактное подразделение Intel (IFS) попало в десятку крупнейших игроков рынка, то в четвёртом его вытеснили из этой части рейтинга компании PSMC и Nexchip, поскольку выручка IFS пострадала от миграции на новые техпроцессы и наличия увеличенных складских запасов продукции. Лидером по темпам снижения выручки в рейтинге десяти крупнейших контрактных производителей в прошлом квартале оказалась занимающая шестое место китайская компания Huahong Group, чья выручка сократилась на 14,2 % до $657 млн. Израильская компания Tower Semiconductor на седьмом месте потеряла в выручке 1,7 % и сократила свою долю на мировом рынке с 1,2 до 1,1 %. Хотя PSMC и поднялась на восьмое место, а её выручка выросла на 8,0 %, доля компании по итогам четвёртого квартала осталась на отметке 1,0 %. Компания Nexchip вернулась в десятку на предпоследнее место, нарастив выручку на 9,1 % и увеличив долю рынка с 0,9 до 1,0 %. Замыкает список VIS (Vanguard) с тем же 1,0 % рынка и сократившейся на 8,7 % до $304 млн выручкой.

Установлен первый литографический сканер ASML для выпуска 2-нм чипов по технологии Low-NA EUV

В контексте недавних достижений во взаимодействия компаний Intel и ASML как-то был упущен из виду тот факт, что производители чипов надеются освоить выпуск 2-нм продукции без перехода на использование более дорогих EUV-сканеров с высоким значением числовой апертуры (High-NA). На этой неделе ASML сообщила, что первый сканер Twinscan NXE:3800E с низким значением числовой апертуры (Low-NA) был установлен одним из клиентов компании.

 Источник изображения: ASML

Источник изображения: ASML

Данное оборудование, по словам AnandTech, характеризуется низким значением числовой апертуры (Low-NA), которое соответствует 0,33, но по сравнению с предыдущим поколением литографических сканеров ASML оно обладает увеличенной производительностью и более разумной стоимостью по сравнению со сканерами, использующими высокое значение числовой апертуры.

В любом случае, стоимость одного литографического сканера серии Twinscan NXE:3800E может превысить $200 млн, хотя конкретная сумма не раскрывается. Предполагается, что этот сканер сможет обрабатывать около 220 кремниевых пластин в час против прежних 160 штук, оправдывая свою высокую цену адекватной производительностью, ведь оборудование для EUV-литографии предыдущего поколения, мягко говоря, не отличалось высоким быстродействием.

Такой сканер клиенты ASML смогут применять для выпуска чипов по 2-нм и 3-нм технологиям. Новая система относится к пятому поколению оборудования ASML для работы со сверхжёстким ультрафиолетовым излучением (EUV) в сочетании с низким значением числовой апертуры (Low-NA). В планах ASML значится выпуск ещё как минимум одного поколения такого оборудования, которое получит обозначение Twinscan NXE:4600F и появится примерно в 2026 году.

США заинтересовались развитием производства полупроводников в Таиланде

Серия визитов министра торговли США Джины Раймондо (Gina Raimondo) в азиатские страны была призвана выделить те регионы, где американские компании готовы развивать выпуск полупроводниковых компонентов и электроники. Как пояснила чиновница во время своего визита в Таиланд, американские компании готовы вкладывать серьёзные средства в местную экономику.

 Источник изображения: Intel

Источник изображения: Intel

Власти Таиланда, в свою очередь, заинтересованы в привлечении инвестиций в местные электротехническую и электронную промышленность. Выступая на мероприятии в Бангкоке, Джина Раймондо повторила: «Производство полупроводниковых компонентов опасным образом сосредоточено в одной или двух странах мира». Американские власти готовы стимулировать развитие инвестиционных проектов в 14 странах, вошедших в Индо-Тихоокеанскую экономическую инициативу (IPEF). Таиланд входит в их число, местные власти готовы предоставлять инвесторам необходимые льготы и субсидии.

Выступая в этой стране, Джина Раймондо подчеркнула, что подобное развитие идёт на пользу всем участникам инициативы, позволяя диверсифицировать цепочки поставок полупроводниковых компонентов. Как и в случае с Вьетнамом, Филиппинами или Малайзией, полупроводниковая отрасль Таиланда преимущественно специализируется на операциях по тестированию и упаковке чипов. В этой стране есть профильные предприятия компаний из Нидерландов, США, Японии и Южной Кореи. Джина Раймондо заявила: «Поскольку американские транснациональные компании ищут способы диверсифицировать свои цепочки поставок, Таиланд становится всё более привлекательным местом». Напомним, что недавно чиновница посетила Филиппины, пообещав привлечь в регион инвестиции американских компаний на общую сумму $1 млрд, сосредоточенные в сферах альтернативной энергетики, электротранспорта и цифровизации.


window-new
Soft
Hard
Тренды 🔥
Sega подтвердила массовые увольнения и продажу Relic Entertainment — разработчики Company of Heroes и Warhammer 40,000: Dawn of War вновь станут независимыми 17 мин.
Hellgate: London спустя 17 лет получит продолжение на Unreal Engine 5 — первые подробности Hellgate: Redemption 2 ч.
Take-Two Interactive купит Gearbox у Embracer Group за $460 миллионов — подтверждена новая Borderlands 2 ч.
Amazon.com инвестирует в ИИ-стартап Anthropic дополнительно $2,75 млрд 13 ч.
Хакеры нашли, как завалить iPhone запросами о сбросе пароля, и стали пользоваться этим для фишинга 14 ч.
Новый бенчмарк — новый рекорд: NVIDIA подтвердила лидерские позиции в MLPerf Inference 15 ч.
Sony подтвердила линейку игр PS Plus на апрель — магический шутер, экшен-стратегия в мире Minecraft и роглайк в стиле Dead Cells 15 ч.
В Великобритании собрались подвести законодательную базу под мемы про криптовалюты 15 ч.
Google представила новые ИИ-функции в картах и поиске — они помогут путешественникам 15 ч.
Lesta Games выразила готовность выпустить «Мир танков» и «Мир кораблей» на российской консоли 16 ч.
В Сеть утекли фотографии белой Xbox Series X без дисковода 26 мин.
По итогам текущего года тайваньские контрактные производители чипов увеличат выручку на 20 % 46 мин.
С 1 апреля порог беспошлинного ввоза товаров снизится до €200 — электроника подорожает на 15–20 % 60 мин.
Госдума приняла закон о свободном доступе интернет-провайдеров в многоквартирные дома 2 ч.
В этом году доля электромобилей китайского производства на рынке Европы превысит 25 % 2 ч.
Эксперты: создать российскую Xbox непросто, но выпустить аналог Steam Deck — решаемая задача 2 ч.
Первый электромобиль Xiaomi за год найдёт не более 50 000 покупателей 3 ч.
Гидроэлектростанции уличили в масштабных выбросах метана, и это серьёзная проблема 3 ч.
Цепляющаяся за жизнь Fisker снизила цены на свои электромобили на величину до 39 % 7 ч.
Процессор Qualcomm Snapdragon X Elite обеспечил в играх Baldur’s Gate 3 и Control выше 30 кадров в секунду 9 ч.