Сегодня 24 мая 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Новости Hardware

Всё идёт по плану: производство 2-нм чипов начнётся в следующем году

Вчерашний вебинар Intel на тему оптимизации организационной структуры затрагивал и тему освоения передовых литографических технологий, выпуск серийных изделий по технологии 18A в массовых количествах руководство пообещало наладить в 2026 году. Представители TrendForce утверждают, что и у других контрактных производителей чипов строительство предприятий для выпуска продукции 2-нм класса идёт по плану.

 Источник изображения: TSMC

Источник изображения: TSMC

Помимо традиционных конкурентов Intel в лице TSMC и Samsung, освоить выпуск 2-нм продукции к 2027 году рассчитывает и основанная недавно в Японии компания Rapidus, которая опирается на технологическую помощь американской IBM и ряда европейских исследовательских институтов. Власти Японии охотно субсидируют молодого игрока контрактного рынка, стремясь придать национальной экономике новый импульс для развития. Уже в 2025 году, как отмечает TrendForce, Rapidus рассчитывает освоить выпуск опытных образцов 2-нм продукции на строящемся сейчас предприятии в Японии. Местные компании готовятся начать снабжать её необходимым для выпуска 2-нм продукции оборудованием и оснасткой буквально в ближайшие пару лет.

Ассоциация SEMI недавно сообщила, что TSMC и Intel могут завершить строительство предприятий по выпуску 2-нм продукции уже к концу этого года, причём именно Intel может первой освоить коммерческий выпуск изделий такого класса. По крайней мере, процессоры семейства Arrow Lake должны использовать компоненты, выпускаемые по технологии Intel 20A. Если ранее считалось, что EUV-сканеры ASML с высоким значением числовой апертуры понадобятся компании для серийного выпуска продукции по технологии Intel 18A, то теперь известно, что они будут внедрены на более поздних этапах.

 Источник изображения: TrendForce

Источник изображения: TrendForce

TSMC ускоренными темпами оснащает фабрику Fab20 P1 в Синьчжу оборудованием, необходимым для производства 2-нм продукции, опытные партии изделий начнут сходить с конвейера во второй половине 2024 года, а выпуск 2-нм компонентов мелкими партиями должен быть налажен ко второму кварталу 2025 года.

Samsung Electronics планирует наладить выпуск 2-нм изделий для мобильных устройств уже в 2025 году, а в 2026 году рассчитывает применить этот техпроцесс для выпуска высокопроизводительных компонентов. В автомобильном сегменте 2-нм техпроцесс Samsung начнёт применять к 2027 году.

Изделия 1-нм класса, по данным TrendForce, контрактные производители планируют начать выпускать в период с 2027 по 2030 годы. В частности, к концу 2027 года начнётся освоение техпроцесса Intel 10A. Корейская Samsung Electronics собирается наладить выпуск 1,4-нм чипов к концу 2027 года. В рамках технологии SF1.4 компания рассчитывает увеличить количество нанолистов в структуре транзисторов с трёх до четырёх штук, и это благоприятно скажется на их производительности и уровне энергопотребления. TSMC освоит техпроцесс A14 в 2027 году, а к концу десятилетия перейдёт на 1-нм техпроцесс A10. Для выпуска чипов по этой технологии в центральной части Тайваня будет построена новая фабрика.

Источник:

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.
Вечерний 3DNews
Каждый будний вечер мы рассылаем сводку новостей без белиберды и рекламы. Две минуты на чтение — и вы в курсе главных событий.
Материалы по теме

window-new
Soft
Hard
Тренды 🔥
Режиссёр The Last of Us Part II: следующая игра Naughty Dog нацелилась «перевернуть устоявшиеся представления о гейминге» 3 ч.
ИИ Google предложил добавить в пиццу клей, чтобы сыр не соскальзывал 6 ч.
К2Тех и MTS AI помогут компаниям во внедрении технологий генеративного ИИ на базе российской LLM Cotype 6 ч.
Alphabet и Meta предлагают Голливуду миллионы долларов за использование контента для ИИ 8 ч.
Microsoft Edge начнет блокировать создание скриншотов на защищённых страницах 9 ч.
«Ничего лучше в жизни не видел»: игроков восхитил трейлер MultiVersus, посвящённый маньяку-убийце из «Пятницы, 13-е» 14 ч.
Анонсирована Warhammer 40,000: Mechanicus II с кампаниями за Адептус Механикус и Некронов — первый трейлер и подробности 15 ч.
Дополнение Void Shadows к Warhammer 40,000: Rogue Trader получило дату выхода, а для Warhammer 40,000: Boltgun анонсировали аддон Forges of Corruption 16 ч.
Взрывной трейлер Warhammer 40,000: Space Marine 2 подтвердил PvE-режим и возвращение PvP — в российском Steam открыт предзаказ 17 ч.
Смартфоны и планшеты с российской ОС «Аврора» и RuStore поступят в продажу 27 мая 18 ч.
Видео: на полигоне SpaceX произошёл мощный взрыв ракетного двигателя 11 мин.
ID-Cooling представила СЖО FX360 PRO с большим радиатором всего за $60 32 мин.
Huawei вынудила Nvidia продавать свои ИИ-ускорители в Китае с большими скидками 36 мин.
Samsung заставляет ремонтников доламывать смартфоны вместо их починки — если там найдутся не те детали 38 мин.
Недалеко от Земле нашли потенциально пригодную для жизни экзопланету — Новый год на ней наступает каждые 13 дней 39 мин.
Лиза Су: AMD повысит энергоэффективность серверных чипов в 100 раз на интервале с 2020 по 2026 год 45 мин.
Broadcom представила 400GbE-адаптеры P1400GD и N1400GD 3 ч.
Такой Вселенную мы ещё не видели: ЕКА поделилась первыми научными снимками с космического телескопа «Евклид» 3 ч.
Память HBM3E от Samsung провалила тесты Nvidia — она слишком горячая и прожорливая 4 ч.
Калифорния больше месяца обходится одной только возобновляемой энергией и ещё продаёт излишки 5 ч.