Сегодня 27 апреля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → duv

США опоздали с санкциями: китайский 7-нм чип для Huawei Mate 60 Pro был изготовлен на оборудовании ASML

США активно сотрудничают с Японией и Нидерландами, чтобы запретить Китаю доступ к передовым полупроводниковым технологиям, использованным в 7-нанометровом чипе для Huawei Mate 60 Pro. Китайская компания SMIC, создавшая чип, продемонстрировала производственные возможности, вызвавшие серьёзную озабоченность в США. По информации от инсайдеров, SMIC пользовалась оборудованием ASML в сочетании с инструментами других компаний, что вызвало в Вашингтоне вопросы об эффективности контроля за передовыми технологиями.

 Источник изображений: ASML

Источник изображений: ASML

ASML играет ключевую роль в глобальной цепочке поставок чипов. Она обладает монополией на передовые системы литографии в сверхжёстком ультрафиолете (EUV), которые необходимы для производства самых передовых чипов, а также поставляет литографические сканеры для производства полупроводников по более зрелым техпроцессам.

ASML никогда не могла продавать свои системы EUV-литографии в Китай из-за экспортных ограничений. Но, по мнению отраслевых аналитиков, менее продвинутые системы для литографии в глубоком ультрафиолете (DUV) могут быть переоснащены оборудованием для осаждения и травления для производства 7-нанометровых и, возможно, даже более совершенных чипов.

Безусловно, этот процесс намного дороже, чем непосредственное использование EUV-литографии, что затрудняет масштабирование производства в конкурентной рыночной среде, однако китайское правительство готово взять на себя значительную часть затрат. Китайские компании уже много лет законно накапливают запасы DUV-оборудования, особенно после того, как в прошлом году США ввели экспортный контроль, к которому затем подключили Японию и Нидерланды. Но по словам источников, экспортные ограничения в отношении ASML были введены слишком поздно, чтобы остановить китайские успехи в производстве микросхем.

Давление со стороны США подтолкнуло правительство Нидерландов прошлым летом объявить о планах запретить компании ASML поставлять в Китай без лицензии три из четырёх своих самых передовых моделей машин для DUV-литографии, второй по мощности категории оборудования. В настоящее время ASML по-прежнему может экспортировать эту продукцию в Китай, но с января следующего года поставки будут полностью прекращены.

В этом году китайские производители микросхем увеличили количество заказов на литографическое оборудование в преддверии вступления экспортного контроля в полную силу в 2024 году. На долю Китая пришлось 46 % от всех продаж ASML в третьем квартале по сравнению с 24 % в предыдущем квартале и 8 % в первом.

Новые меры контроля, о которых администрация США объявила в этом месяце, ещё больше ограничивают экспорт оборудования для DUV-литографии. Согласно новым правилам, ASML по-прежнему сможет поставлять лишь свою наименее совершенную машину NXT:1980Di на китайские предприятия, производящие старые чипы. Это затронет поставки ASML на шесть заводов в Китае, включая одно предприятие SMIC. По прогнозам, новые экспортные ограничения в США и Нидерландах снизят поставки оборудования ASML в Китай на 15 %.

Эксперты полагают, что новые ограничения США на поставку оборудования для EUV-литографии теперь соответствуют ограничениям в Нидерландах. Но в вопросе регулирования DUV-машин США пошли дальше, что вызвало недовольство: группа голландских политиков, включая законодателей от двух партий правящей коалиции, призвала своё правительство выступить против новых мер США.

Генеральный директор ASML Питер Веннинк (Peter Wennink) также публично выступил против этих мер и предупредил, что они могут побудить Китай к разработке конкурирующих технологий. «Чем больше вы будете оказывать на них давление, тем больше вероятность, что они удвоят свои усилия», — заявил он.

«Соединённые Штаты провели свой собственный анализ безопасности. Они имеют на это право», — заявила министр внешней торговли Нидерландов Лейсье Шрайнемахер (Leisje Schreinemacher) в парламенте на этой неделе. Она полагает, что Европейский Союз должен играть более важную роль в обсуждениях с США по контролю за экспортом чувствительных технологий и собирается поднять этот вопрос перед премьер-министром Марком Рютте (Mark Rutte) в Брюсселе.

Нидерланды дополнительно ограничат экспорт машин ASML для выпуска чипов в Китай уже 30 июня

Правительство Нидерландов планирует обнародовать новые экспортные правила, которые дополнительно ограничат возможность экспорта машин для производства чипов в Китай. Ожидается, что соответствующее объявление будет сделано уже на следующей неделе, 30 июня, или в начале июля.

 Источник изображения: ASML

Источник изображения: ASML

По данным источников, фактически в документе не будет упоминаться Китай или ASML, но правила подготовлены таким образом, что ограничат именно экспорт трёх моделей оборудования ASML в Поднебесную. Как сообщает китайский ресурс SCMP, нидерландские законодатели фактически создали шаблон, по которому экспортные ограничения смогут вводить и другие страны ЕС. Как известно, администрация президента США Джо Байдена (Joe Biden) оказывает давление на Нидерланды и прочие страны, чтобы помешать Китаю развивать собственную полупроводниковую промышленность и добиваться успехов в технологиях вроде ИИ и квантовых вычислений. Прежде США ввели и собственные экспортные ограничения, не позволяя продавать в Китай некоторые передовые чипы и оборудование для их производства.

В январе власти Нидерландов и Японии выразили принципиальное согласие на присоединение к американским санкциям — три страны являются лидерами в производстве оборудования для выпуска чипов и обладают большим опытом выпуска полупроводников. ASML — одна из самых ценных технологических компаний ЕС. Ранее она заявляла, что ограничительные меры не окажут значительного влияния на её финансовые показатели в 2023 году или в долгосрочной перспективе. Для поставки некоторых типов оборудования компании придётся обращаться к властям за экспортными лицензиями.

Ожидается, что ограничения не позволят поставлять в Китай машины для производства чипов с использованием литографии в глубоком ультрафиолете (DUV): TWINSCAN NXT: 2000i, NXT: 2050i и NXT: 2100i. Ранее ASML уже запретили продажи оборудования для передовой EUV-литографии (в сверхжёстком ультрафиолете) китайским компаниям. По мнению местного министерства торговли, потеря платёжеспособного клиента «необходима для обеспечения национальной и международной безопасности» и технологии нужно взять под контроль так быстро, насколько это возможно. Впрочем, принимаемые меры всё равно мягче тех, которые уже ввели США в прошлом году.

Как известно, правительство Нидерландов подвергается значительному давлению со стороны США для организации глобальной блокады Китая в некоторых сферах, для того чтобы помешать стремительно развивающейся китайской полупроводниковой отрасли. При этом Китай является одним из главных клиентов ASML.

В самой компании неоднократно заявляли, что подобные санкции только подтолкнут Китай к ускоренному развитию собственных полупроводниковых технологий, но, похоже, их доводы не были услышаны. Известно, что очередной пакет законодательных инициатив ЕС предлагает усилить контроль за деятельностью европейских компаний на территории КНР. По традиции авторы инициативы заявляют, что меры не направлены против какой-то одной конкретной страны.

Спрос на оборудование ASML для выпуска чипов достиг рекордного уровня — прибыль устойчиво растёт и санкции против Китая не страшны

Компания ASML отчиталась о рекордных доходах и прибылях — спрос на оборудование для производства чипов остаётся чрезвычайно высоким несмотря на замедление продаж ПК и смартфонов. Портфель заказов, включая оборудование для DUV и EUV литографии превышает $38 млрд, поскольку производители чипов продолжают инвестировать в расширение мощностей.

 Источник изображения: ASML

Источник изображения: ASML

Известно, что ASML продолжает продавать оборудование для DUV-литографии китайским клиентам, а покупатели EUV-оборудования ориентированы на новейшие решения, позволяющие работать со сверхжёсткой ультрафиолетовой литографией при высоком значении числовой апертуры (High-NA EUV).

Продажи ASML в третьем квартале составили €5,8 млрд, продано более 80 новых литографических систем, включая 12 EUV-инструментов и 74 DUV-машины, а также шесть бывших в употреблении сканеров.

Прибыль в квартале составила €2,994 млрд, а валовая прибыль достигла 51,8 %. Известно, что некоторые клиенты предпочитают т.н. «быстрые поставки», когда пропускаются этапы промежуточного тестирования, а финальное происходит уже на площадке клиента.

Хотя спрос на многие типы чипов падает, производители ожидают, что ситуация исправится и чипы окажутся востребованными уже в 2024-2025 годах, к этому времени компаниям понадобятся новые мощности. В частности, новые заводы строят Intel, Micron, Samsung и SK hynix.

Портфель заказов ASML превышает $38 млрд (против $33 млрд во втором квартале) — включая 600 DUV-сканеров и более 100 EUV-сканеров, многие из которых заказываются впрок, для сохранения странами технологического суверенитета в выпуске чипов. На то, чтобы выполнить все заказы, у компании уйдут годы, поскольку в 2023 году планируется выпустить порядка 375 DUV-машин и более 50 EUV.

 Источник изображения: ASML

Источник изображения: ASML

Ожидается, что в 4 квартале продажи составят 6,1-6,6 млрд евро, а валовая прибыль достигнет 49 %. За год доход составит €21,1 млрд, а валовая прибыль — 50 %.

В отличие от производителей аналогичного оборудования из США, в ASML не снижают прогнозов продаж на 4 квартал — американский бизнес вынужден считаться с законами, блокирующими продажи оборудования китайскому бизнесу без специальной экспортной лицензии. Поскольку ASML находится в Нидерландах и почти не использует американских комплектующих в DUV-машинах, она может поставлять большинство своих решений соответствующего уровня компаниям вроде Semiconductor Manufacturing International Co. (SMIC) и Yangtze Memory Technology Co. (YMTC).

Впрочем, ASML не может поставлять китайским клиентам EUV-сканеры, использующие американские технологии. Поскольку компания не собиралась продавать подобное оборудование в Китай изначально, новые санкции никак не повлияют на прогнозы доходности ASML в следующем квартале и в 2022 году в целом.

 Источник изображения: ASML

Источник изображения: ASML

Санкции могут подействовать на бизнес ASML косвенным образом. Помимо продуктов компании, производителям требуется и другое оборудование, продажу которого в Китай могут заблокировать, что скажется на спросе и на DUV-машины, а некоторые заказы могут отменить. Впрочем, китайские полупроводниковые компании могут рассчитывать на гигантские государственные средства для закупки подобного оборудования впрок, пока не появится возможность приобрести дополнительные машины для производственной цепочки тем или иным способом. Так или иначе, даже если спрос со стороны Китая упадёт, для ASML общий спрос всё равно пока значительно превышает предложение.

Поступают и новые заказы на передовой High-NA EUV сканер Twinscan EXE:5200, позволяющий производителям перейти на очередной уровень EUV-литографии. Предполагается, что в числе клиентов — Intel, Samsung Foundry и TSMC, а также SK Hynix. Не исключено, что первый заказ сделала и Micron, которая строит новые заводы в США. Ещё в мае сообщалось, что у ASML уже появилось пять покупателей на сканеры нового поколения.

В целом спрос на оборудование так высок, что портфель заказов увеличился до $38 млрд в 3 квартале, на $5 млрд за квартал. Прогнозируется, что даже полная потеря китайских клиентов останется незамеченной для ASML как минимум в течение двух лет.


window-new
Soft
Hard
Тренды 🔥
Microsoft исправила поиск в Windows 10 — наконец-то он будет искать то, что надо 4 ч.
Программный комплекс Tarantool получил сертификат ФСТЭК России по 4-му уровню доверия 4 ч.
Госдума рассмотрит запрет на «организацию обращения криптовалют» с 1 сентября 2024 года 5 ч.
Google вложит в развитие ЦОД до $50 млрд в 2024 году 6 ч.
UserGate развернула облачный центр ИБ-мониторинга и анализа инцидентов 8 ч.
Российский «Яндекс» отчитался по результатам первого квартала 2024 года 9 ч.
Постъядерные каникулы: вышел новый трейлер амбициозного мода-долгостроя Fallout: Miami для Fallout 4 11 ч.
Обновлённый законопроект разрешит физлицам в РФ заниматься майнингом, но без фанатизма 12 ч.
Анонсирован VR-хоррор Alien: Rogue Incursion, который полностью погрузит игроков в ужасы вселенной «Чужого» 21 ч.
Российская пошаговая тактика «Спарта 2035» про элитных наёмников в Африке получила первый геймплей — демоверсия не выйдет 30 апреля 22 ч.